Senin, 25 Oktober 2010

Tugas FPGA : Standart Library IEEE, STD dan Work

1. Library IEEE:
use IEEE.std_logic_1164.all;
use IEEE.std_logic_textio.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_unsigned.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;


2. Library STD :
use STD.standard.all;
use STD.textio.all;


3. Library WORK :
Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh :
use WORK.data_types.all;
dll.

Tidak ada komentar:

Posting Komentar