Senin, 25 Oktober 2010

Tugas 1 Bhs. Indonesia : Kata Baku dan Non Baku

Beberapa Contoh Kata Baku Dan Non Baku


No Kata Baku Kata Non Baku
1 Analisis Analisa
2 Apotek Apotik
3 Aktif Aktip
4 Abjad Abjat
5 Antre Antri
6 Atlet Atlit
7 Atmosfer Atmosfir
8 Ampfibi Amphibi
9 Asas Azas
10 Bus B is
11 Cabai Cabe
12 Daftar Daptar
13 Dekret Dekrit
14 Doa Do’a
15 Efektif Efektip
16 Efektivitas Efekifitas
17 Ekstrem Ekstrim
18 e-mail Email
19 Faksimile Faksimili
20 Februari Pebruari
21 Foto Photo
22 Fotokopi Foto Copy
23 Gizi Gisi
24 Hafal Hapal
25 Hakikat Hakekat
26 Hipotesis Hipotesa
27 Hierarki Hirarki
28 Ijazah Ijasah
29 Izin Ijin
30 Imbau Himbau
31 Isap Hisap
32 Jadwal Jadual
33 Jumat Jum’at
34 Karena Karna
35 Karisma Kharisma
36 Kategori Katagori
37 Khotbah Khutbah
38 Komplet Komplit
39 Konkret Konkrit
40 Kreatif Kreatip
41 Kreativitas Kreatifitas
42 Kredit Kridit
43 Kualitas Kwalitas
44 Kuitansi Kwitansi
45 Kuota Kwota
46 Laknat La’nat
47 Lembap Lembab
48 Lubang Lobang
49 Maaf Ma’af
50 Makhluk Mahluk
51 Masjid Mesjid
52 Miliar Milyar
53 Merek Merk
54 Misi Missi
55 Modern Moderen
56 Materai Meterai
57 Masyhur Mashur
58 Muazin Muadzin
59 Mukjizat Mu’jizat
60 Napas Nafas
61 Nasihat Nasehat
62 Negeri Negri
63 Nikmat Ni’mat
64 November Nopember
65 Objek Obyek
66 Pasif Pasip
67 Penasihat Penasehat
68 Petai Pete
69 Proklamasi Proklamir
70 Provinsi Propinsi
71 Proyek Project
72 Rakaat Raka’at
73 Rezim Rejim
74 Risiko Resiko
75 Rizki Rezeki
76 Rubuh Roboh
77 Sintesis Sintesa
78 Sistem Sistim
79 Stroberi Strawberi
80 Subjek Subyek
81 Surga Sorga
82 Saraf Syaraf
83 Takwa Taqwa
84 Taoge Tauge, Toge
85 Teknik Tehnik
86 Teknologi Tekhnologi
87 Teladan Tauladan
88 Teleppon Telpon
89 Telur Telor
90 Tobat Taubat
91 Ubah Rubah
92 Ustaz Ustadz
93 Ustazah Ustadzah
94 Wakaf Waqaf
95 Wujud Ujud
96 Vila Villa
97 Yudikatif Yudikatip
98 Zaman Jaman
99 Zikir Dzikir
100 Zuhur Dzuhur

Tugas FPGA : Standart Library IEEE, STD dan Work

1. Library IEEE:
use IEEE.std_logic_1164.all;
use IEEE.std_logic_textio.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_unsigned.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;


2. Library STD :
use STD.standard.all;
use STD.textio.all;


3. Library WORK :
Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut.
Contoh :
use WORK.data_types.all;
dll.

Tugas FPGA : Contoh Pemrograman VHDL Pada D Flip FlopTugas FPGA : Contoh Pemrograman VHDL Pada D Flip Flop Salah satu contoh program vhdl dari sebuah

Salah satu contoh program vhdl dari sebuah D flip-flop edge triggered positif dengan asynchronous Reset adalah sebagai berikut:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;


architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;